Lattice Radiant Software 1.1 FPGA Design Tools Accelerates Design Reuse

Published  April 24, 2019   0
Lattice Radiant Software 1.1 FPGA Design Tools Accelerates Design Reuse

Lattice Semiconductor Corporation released Lattice Radiant Software 1.1 which is a full featured FPGA design suite with added functions, leading-edge design and implementation tools. The software suite is optimized for Lattice’s iCE40 UltraPlus family which is well known small size and low power FPGA.  The software package also features an Intellectual Property (IP) packager tool which allows developers to package and distribute Soft IP. In addition, the third party IP providers and customers can prepare and package encrypted IP in the Radiant Software IP format making it secure and reliable.

 

Lattice Radiant Software 1.1 leverages a unified design database to implement data convergence and supports the Synopsys Design Constraint (SDC) industry standard to provide maximum interoperability, rapid design exploration, and an easy to use interface. The Radiant Software 1.1 allows developer to use Lattice and non-Lattice IPs with less time to market in their designs with its IP Packager tools. Designers can also increase their productivity with expanded IP catalog which includes a significant number of modules and parameterized module instantiation (PMI) optimized for iCE40 UltraPlus FPGAs.

 

The Lattice Radiant Software 1.1 is made to support Windows as well as Ubuntu LTS 16.4 distribution of Linux. The Radiant Software 1.1 is available to download from Lattice’s website with a free license support.

Tags